4人表决器表决器好设计,用5个三输入的与非门就行了,五人表决器就要麻烦了,真值表好列,用逻辑代数或卡诺图求出简手谈化的逻辑表达式并演化成局握与非的形式就不好化了,这个问题你还是想老师寻求一点帮助吧library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bj isport(a:in std_logic_vector(4 downto 0);y:out std_logic);end bj;architecture aa of bj issignal x:std_logic; beginx<=a(0)+a(1)+a(2)+a(3)+a(4);process(a) beginif x>2 then y<=’1’;else y<=’0’;end if;end process;end aa;#include sbit P10=P1^0;//表决人1 sbit P11=P1^1;//表决人2 sbit P12=P1^2;//表决人3 sbit P13=P1^3;//表决人4 sbit P14=P1^4;//表毕腊碰决人5 sbit P15=P1^5;//结果显示 int a=0; int b=0; int i=0; void main() { while(1) { if(P10==0) a++; else b++; if(P11==0) a++; else b++; if(P12==0) a++; else b++; if(P13==0) a++; else b++; if(P14==0) a++; else b++; if(a>b) P15=0; else P15=1; a=b=0; for(i=0;i<255;i++) {} } }
标签:源代码,五人,表决器
版权声明:文章由 淘百问 整理收集,来源于互联网或者用户投稿,如有侵权,请联系我们,我们会立即处理。如转载请保留本文链接:https://www.taobaiwen.com/baike/385840.html